[Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Projekty użytkowników forum zarówno sprzętowe, jak i związane z programowaniem w dowolnym języku.
Awatar użytkownika
tasza
Geek
Geek
Posty: 1082
Rejestracja: czwartek 12 sty 2017, 10:24
Kontaktowanie:

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: tasza » wtorek 16 sty 2018, 09:33

No super! I najważniejsze, że ruszyło jakoś, ale może jednak spraw sobie najprostszą nawet lutowniczkę oporową, kilkanaście wat do takich prac z powodzeniem wystarczy, toć to grosze kosztuje, a pracuje się inaczej zupełnie.
I co to tam tak gada na filmiku?
______________________________________________ ____ ___ __ _ _ _ _
Kończysz tworzyć dopiero, gdy umierasz. (Marina Abramović)

Awatar użytkownika
j23
Expert
Expert
Posty: 506
Rejestracja: czwartek 08 paź 2015, 18:40

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: j23 » wtorek 16 sty 2018, 15:47

tasza pisze:No super! I najważniejsze, że ruszyło jakoś, ale może jednak spraw sobie najprostszą nawet lutowniczkę oporową, kilkanaście wat do takich prac z powodzeniem wystarczy, toć to grosze kosztuje, a pracuje się inaczej zupełnie.
Ano, cosik takiego będę musiał pomyśleć, albo grot jakiś wystrugać...
tasza pisze:I co to tam tak gada na filmiku?
Video jest moje, a audio to jest oryginalny podkład głosu Neila Armstronga z misji Apollo 11 (kiedy to ludzie po raz pierwszy dotknęli powierzchni Księżyca) :) - a bo strasznie się namęczyłem z tymi małymi draniami z rozstawem nóżek SSOP8. Ostatecznie opracowałem względnie efektywną metodę montażu, ale nie obyło się bez "ofiar" - jednemu przetwornikowi podczas montażu urwała się niestety noga przy samej d..ie... ;) Na szczęście miałem czterech takich "żołnierzy", a więc nastąpiła szybka zmiana personelu tej misji. ;)
Teraz męczę się nad metalową obudową, potem tylko dorobić kable (muszą być koncentryki RG58) no i testy...
Internet łączy ludzi, którzy dzielą się swoimi zainteresowaniami, pomysłami i potrzebami, bez względu na geograficzne (przeciwności).
BOB TAYLOR, PARC

Awatar użytkownika
dambo
Expert
Expert
Posty: 645
Rejestracja: czwartek 17 mar 2016, 17:12

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: dambo » wtorek 16 sty 2018, 19:26

żadnych testów przed przylutowaniem obudowy metalowej? Jakby się okazało coś nie tak to sporo czasu na to zejdzie potem
Nowy blog o tematyce embedded -> https://www.embedownik.pl/

Awatar użytkownika
Antystatyczny
Geek
Geek
Posty: 1168
Rejestracja: czwartek 03 wrz 2015, 22:02

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: Antystatyczny » środa 17 sty 2018, 02:13

dambo pisze:żadnych testów przed przylutowaniem obudowy metalowej?


Podłączam się do pytania przedmówcy. Moim zdaniem lepiej przetestować sprzęt bez ekranu, ale jako źródło wziąć sygnał o znacznie niższej częstotliwości, np. 1kHz. Jeśli dzielnik podzieli...będzie można otoczyć całość ekranem. Demontaż metalowej puszki (o ile okaże się, że coś nie działa) będzie koszmarny...
"The true sign of intelligence is not knowledge but imagination" Albert Einstein.

Awatar użytkownika
j23
Expert
Expert
Posty: 506
Rejestracja: czwartek 08 paź 2015, 18:40

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: j23 » sobota 20 sty 2018, 01:07

Przede wszystkim przepraszam, że trochę z opóźnieniem odpowiadam, ale to było spowodowane tym, że był problem techniczny z nagrywaniem. Ostatecznie nagrałem to w jako takiej jakości (najlepszej jakiej się dało).

Posłuchałem się oczywiście przestróg jak wyżej i testy zrobiłem jeszcze przed założeniem "puszki Faraday'a" - no i chyba słusznie, bo to coś nie bardzo funkcjonuje zgodnie z założeniem... :(
Ale do rzeczy:
Na filmiku widać oscyloskop Rigol DS1054Z jako główne narzędzie pomiarowe, dalej... jedna sonda wzorcowa ustawiona na częstotliwość kalibracji sondy, i drugi kabel jako sonda (tzn.to jest kabel SMA [dzielnik] --> BNC [oscyloskop] ) podłączony na wyjście dzielnika częstotliwości DIY (mającego dzielić przez 8). Dalej widać uniwersalną płytkę prototypową a na niej płytkę PCB dzielnika, która podłączona jest pod źródło zasilania 5V z portu USB (bo tak mi było prościej, a zapotrzebowanie na moc z tego portu powinno wystarczyć). Na wejście wzmacniacza podano sygnał do kalibracji sondy z oscyloskopu Rigol za pomocą dwóch kabelków do testowania (wiem, że powinien być na nich ekran, etc., etc. - ale sygnał leci o małej częstotliwości, poza tym to tylko test).

Filmik z testów:
20180130_232731.mp4


Wnioski:
Dzielnik nie pracuje tak jak powinien, tzn.przebieg zmian sygnału na wyjściu dzielnika nie podąża w takt zmian sygnału częstotliwości dostarczonej do wejścia dzielnika. Sygnał jest albo opóźniony (efekt z kondensatorów 100nF?), albo po prostu źle formowany (wadliwa praca któregoś z ukladów scalonych - przerzutników, może to są podróby.. :( -nie wiem).

Nie bardzo wiem co dalej z tym fantem zrobić. Myślę nad tym żeby odłączyć te kondensatory filtrujące po 100nF i zobaczyć co wtedy, albo ew . pozbyć się jednego z tych 3-ech układów przerzutnika kosztem zredukowania dzielnika do dzielenia przez 4 (co do testów Si5351a powinno wystarczyć).

Chyba, że nic się z tym nie da zrobić to cóż... :( Testy dla Si5351a będę wykonywał tylko do częstotliwości 54MHz max.-bo takie graniczne wartości oferuje model oscyloskopu, którym dysponuję.

Wszelkie konstruktywne porady mile widziane, za co z góry dziękuję.

Pozdrawiam! J23 Jarek
Nie masz wymaganych uprawnień, aby zobaczyć pliki załączone do tego posta.
Internet łączy ludzi, którzy dzielą się swoimi zainteresowaniami, pomysłami i potrzebami, bez względu na geograficzne (przeciwności).
BOB TAYLOR, PARC

SuperGość
Uber Geek
Uber Geek
Posty: 2346
Rejestracja: piątek 04 wrz 2015, 09:03

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: SuperGość » sobota 20 sty 2018, 05:06

Którym sygnałem synchronizujesz przebiegi na oscyloskopie?

Awatar użytkownika
tasza
Geek
Geek
Posty: 1082
Rejestracja: czwartek 12 sty 2017, 10:24
Kontaktowanie:

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: tasza » sobota 20 sty 2018, 08:34

Sygnał wzorcowy, ten o wyższej częstotliwości podaj na jeden kanał, ustaw trigger na narastające na przykład zbocze i generalnie wycyrkluj tak, aby grzecznie stał w miejscu i podstawa czasu gwarantowała pokazanie co najmniej kilkunastu pełnych okresów. I tym sygnałem synchronizuj drugi - ten z kolejnych stopni dzielnika. Dotykając sondą do poszczególnych wyjść Q przerzutników '74 będziesz miał na ekranie f/2, potem f/4 potem f/8 ładnie zaczepione do pierwszego przebiegu. Z filmiku śmiem twierdzić dzielnik pracuje, tylko oscyl. w ogóle nie ogarnia, co ma tak naprawdę pokazać i jest to co jest. Kondensatorów odprzęgających szczerze nie radzę wlutowywać, bo przy wyższych f to wtedy zaczną się prawdziwe cyrki.
Dla jakiej częstotliwości wejściowej robisz te testy?
______________________________________________ ____ ___ __ _ _ _ _
Kończysz tworzyć dopiero, gdy umierasz. (Marina Abramović)

SuperGość
Uber Geek
Uber Geek
Posty: 2346
Rejestracja: piątek 04 wrz 2015, 09:03

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: SuperGość » sobota 20 sty 2018, 09:10

No dokładnie Ci to wyłuszczyła tasza to o co ciebie zapytałem, tylko chciałem Cię zmusić do samodzielnego rozwikłania tej zagadki :D.

:arrow: tasza - napisał Jarek że daje sygnał z kalibracji pewnie 1 kHz (chyba, że w rigolu jest inaczej)
a zresztą widać że podstawe ma na 500us czyli 1 kHz

Awatar użytkownika
j23
Expert
Expert
Posty: 506
Rejestracja: czwartek 08 paź 2015, 18:40

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: j23 » sobota 20 sty 2018, 09:12

wojtek pisze:Którym sygnałem synchronizujesz przebiegi na oscyloskopie?

To jest sygnał od kalibracji sondy (10x). W oparciu o polski podręcznik do tego oscyloskopu, str.12 wnioskuję, że jest to sygnał o częstotliwości 50 [Hz] (???).
W gniazdo kalibracji wpięte są:
- kabel doprowadzający sygnał z oscyloskopu do wejścia dzielnika (wykres wyświetlony na żółto u góry)
- kabel sondy (pokazujący tenże sam sygnał, ale dla kalibracji sondy)

Nie wiem czy jasno się wyrażam, ale jeśli nie to wynika to z braku doświadczenia...
Internet łączy ludzi, którzy dzielą się swoimi zainteresowaniami, pomysłami i potrzebami, bez względu na geograficzne (przeciwności).
BOB TAYLOR, PARC

SuperGość
Uber Geek
Uber Geek
Posty: 2346
Rejestracja: piątek 04 wrz 2015, 09:03

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: SuperGość » sobota 20 sty 2018, 09:14

Chodzi o to czy robisz dokładnie tak jak ci wyżej napisała tasza, to znaczy czy oscyl właściwie ustawiłeś, czyli czy synchronizujesz przebiegi kanałem ch3 do którego podpinasz sygnał 1kHz z którego to też napędzasz licznik.

Awatar użytkownika
j23
Expert
Expert
Posty: 506
Rejestracja: czwartek 08 paź 2015, 18:40

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: j23 » sobota 20 sty 2018, 09:28

tasza pisze:Sygnał wzorcowy, ten o wyższej częstotliwości podaj na jeden kanał, ustaw trigger na narastające na przykład zbocze i generalnie wycyrkluj tak, aby grzecznie stał w miejscu i podstawa czasu gwarantowała pokazanie co najmniej kilkunastu pełnych okresów.(...)

Ok, przyznaję się bez bicia, że jeszcze dość słabo znam się na oscyloskopie, jak i na pomiarach. Sygnał o częstotliwości kalibracji sondy idzie dwoma torami - tak jak to napisałem wyżej Wojtkowi, tzn. raz dla sondy - kanał nr 3 (tak jak się ją kalibruje, i to jest ten sygnał na fioletowo/niżej) i drugi sygnał bezpośrednio na wejście dzielnika (który z kolei z wyjścia trafia przez kabel rg58 na kanał nr 1, kolor żółty/wyżej).

Mój błąd, że od razu "nie macałem" dzielnika sondą po ścieżkach, tylko od razu wypuściłem sygnał z wyjścia dzielnika na kanał nr 1 oscyloskopu. Dzisiaj zrobię kolejne testy i napiszę co i jak. No i chyba wylutuję te kondensatory odsprzęgające (one były wlutowane zgodnie z wcześniejszymi zaleceniami). To znaczy najpierw wykonam pomiary sondą (badanie każdego scalaka) bez wylutowywania tych kondensatorów, a potem jeśli to nic nie da zabiorę się za kondensatory.

Częstotliwość wejściowa = częstotliwość kalibracji sond (chyba 50 Hz, tak jak napisałem wyżej - wnioskuję na podstawie str.12 polskiego podręcznika do Rigol).
Internet łączy ludzi, którzy dzielą się swoimi zainteresowaniami, pomysłami i potrzebami, bez względu na geograficzne (przeciwności).
BOB TAYLOR, PARC

Awatar użytkownika
j23
Expert
Expert
Posty: 506
Rejestracja: czwartek 08 paź 2015, 18:40

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: j23 » sobota 20 sty 2018, 09:31

wojtek pisze:Chodzi o to czy robisz dokładnie tak jak ci wyżej napisała tasza, to znaczy czy oscyl właściwie ustawiłeś, czyli czy synchronizujesz przebiegi kanałem ch3 do którego podpinasz sygnał 1kHz z którego to też napędzasz licznik.

Tak Wojtek, dokładnie tak robię. Dzisiaj zrobię dodatkowe, trochę dokładniejsze testy, bo wydaje mi się, że coś nie tak podłączyłem te przerzutniki - tzn.chodzi o te kondensatory po 100 [nF] x3. Wg noty aplikacyjnej (teoretycznie) nie powinno ich tam być.
Internet łączy ludzi, którzy dzielą się swoimi zainteresowaniami, pomysłami i potrzebami, bez względu na geograficzne (przeciwności).
BOB TAYLOR, PARC

Awatar użytkownika
tasza
Geek
Geek
Posty: 1082
Rejestracja: czwartek 12 sty 2017, 10:24
Kontaktowanie:

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: tasza » sobota 20 sty 2018, 09:45

ooo rany, no bez kawy z rana to ja niekumata, ale już lepiej

tak ogólnie to masz mieć na ekraniku coś takiego:
https://youtu.be/QKgTiXzvDmQ

już mi się nie chciało sztukować dzielniczka f na piechotę z przerzutników to wstawiłam 74HC93 (licznik binarny 2/8), kostka poganiana jest zintegrowanym generatorem kwarcowym, z pudełka wylosował się akurat 10MHz. No i na filmiku widać jak całość pracuje, wejście 10MHz jest na (+1) Analog Discovery, wyjście z podzielnika Q3 (druga cześć kości '93, dzieląca przez 8) na (+2) w pudełku AD2.

Odnośnie wykorzystania sygnału kalibracyjnego osc., wszyscy się cieszymy że jest, ale czy jego parametry napięciowe będą w stanie pewnie sterować wejściem licznika? Może masz jakieś źródło sygnału cyfrowego, choćby właśnie taki zintegrowany generatorek, co daje fale w TTL i kilka MHz? To można wydłubać z byle starej płyty głównej, albo coś zrobić na boku. I jeden kanał zapinasz do wyjścia gen, będącego jednocześnie wejściem licznika, drugi kanał - na badane wyjście. Sondy x1. I zadziała, zobaczysz....
Ten oscylek nie ma guzika auto? Bo jak dobrze instalację pomiarową zestawisz to po auto powinien dojść samodzielnie co i jak...

A co do kondensatorów - one mają być pomiędzy VCC i GND każdej kostki, blokują zasilanie i zostaw je raczej, one nie biorą czynnego udziału w tym eksperymencie (tzn. `nie przewodzą` sygnału pomiarowego)
______________________________________________ ____ ___ __ _ _ _ _
Kończysz tworzyć dopiero, gdy umierasz. (Marina Abramović)

Awatar użytkownika
j23
Expert
Expert
Posty: 506
Rejestracja: czwartek 08 paź 2015, 18:40

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: j23 » sobota 20 sty 2018, 11:07

tasza pisze:(...)tak ogólnie to masz mieć na ekraniku coś takiego(...)
Przede wszystkim Tasza WIELKIE dzięki za duże zaangażowanie (wytłumaczenie i zbudowanie układu na płytce i w ogóle). :) :like:

tasza pisze:(...)zintegrowanym generatorem kwarcowym, z pudełka wylosował się akurat 10MHz (...)
Odnośnie wykorzystania sygnału kalibracyjnego osc., wszyscy się cieszymy że jest, ale czy jego parametry napięciowe będą w stanie pewnie sterować wejściem licznika? Może masz jakieś źródło sygnału cyfrowego, choćby właśnie taki zintegrowany generatorek, co daje fale w TTL i kilka MHz?
Myślę, że najlepiej będzie jeśli wypuszczę sygnał, z któregoś z pinów nucleo, lub Raspberry (jeśli ma to być sygnał stabilny). Znam jakieś podstawowe schematy generatorów, ale.. moja wiedza + sprzęt jakim dysponuję stawiają pod znakiem zapytania właśnie stabilność tego sygnału, który miałby być wygenerowany. Odpowiadając na pytanie, czy dysponuję jakimś generatorem, to: tak, dysponuję - np.takim eleganckim Si5351a. Wprost idealny.. Problem w tym, że to ON ma być celem późniejszego badania/testowania ;) Nie mogę użyć wpierw przyrządu późniejszego testowania, jako pierwotnego punktu odniesienia... Zbuduję jakiś generator najlepiej jak potrafię - programowo wypuszczę sygnał zerojedynkowy z któregoś minikomputerka.

tasza pisze:Ten oscylek nie ma guzika auto? Bo jak dobrze instalację pomiarową zestawisz to po auto powinien dojść samodzielnie co i jak...
Tak ma taki guzik - dokładnie "AUTO", którego użyłem podczas nagrywania powyższego filmiku.

Do testów będę mógł zasiąść dziś wieczorem. Wtedy pewnie odezwę się ponownie.

Jeszcze raz dzięki Tasza i miłego dnia. :)
Internet łączy ludzi, którzy dzielą się swoimi zainteresowaniami, pomysłami i potrzebami, bez względu na geograficzne (przeciwności).
BOB TAYLOR, PARC

SuperGość
Uber Geek
Uber Geek
Posty: 2346
Rejestracja: piątek 04 wrz 2015, 09:03

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: SuperGość » sobota 20 sty 2018, 11:21

Problem faktycznie możesz mieć z sygnałem wejściowym bo zalecane UINH to 0,7xUcc czyli u ciebie to 3,5V przy zasilaniu 5V, a widze na oscylu że masz 3V. No chyba że się mylę.

Awatar użytkownika
tasza
Geek
Geek
Posty: 1082
Rejestracja: czwartek 12 sty 2017, 10:24
Kontaktowanie:

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: tasza » sobota 20 sty 2018, 12:13

W dokumentacji do osc. na stronie 8 przy opisie testowania wzmiankują, że na ekraniku pokaże się 3Vpp @ 1kHz.... 3V wartości międzyszczytowej to jest amplituda na poziomie 1.5V od masy licząc, to chyba za mało na stan H nawet dla logiki 3.3V a co dopiero dla prostackich TTL.
Malinka jest wprawdzie `5V tolerant` ale na wejście, zapodaje natomiast poziomy wyjściowe dla logiki 3.3V, więc też mogą być kłopoty (czytaj: jak licznik na tym zaskoczy to raczej przypadkiem). Oczywiście wystarczy tranzystor NPN+rezystor w bazę i drugi w kolektor do 5V, taka podpórka, da sygnał w miarę kompatybilny z TTL.
Taka ogólnie refleksja mnie tu naszła, że chyba dobrze jest unikać równań z wieloma niewiadomymi....
Tu, teraz, zbyt wiele spraw niepewnymi jest aby tak psy wieszać na biednym liczniku-dzielniku, ja ciągle obstawiam że on akurat jest ok.
Naprawdę, weź i skubnij skądkolwiek porządny sygnał zegarowy TTL, można choćby na jednej bramce 74132 i R/C, można na NE555, ale to deko więcej dziergania, multiwibrator na 2xbyle NPN można zrobić...no opcji wiele

O, a jak już bardzo się upierasz, aby pobrać z oscyloskopu syg. testowy to taki układ formujący załatwia sprawę w/g mnie, spróbuj:
Nie masz wymaganych uprawnień, aby zobaczyć pliki załączone do tego posta.
______________________________________________ ____ ___ __ _ _ _ _
Kończysz tworzyć dopiero, gdy umierasz. (Marina Abramović)

Awatar użytkownika
WoodPaker
User
User
Posty: 136
Rejestracja: czwartek 17 wrz 2015, 19:23
Lokalizacja: USA
Kontaktowanie:

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: WoodPaker » niedziela 06 sty 2019, 01:06

J23, zaciekawił mnie ten temat. Widzę, że to już rok, a rozwiązania jak nie było tak nie ma. Zatem do dzieła. Daj znać jak poszły testy i czy rozwiązałeś problem!
Life is to short to eject USB safely

Awatar użytkownika
tasza
Geek
Geek
Posty: 1082
Rejestracja: czwartek 12 sty 2017, 10:24
Kontaktowanie:

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: tasza » niedziela 25 sie 2019, 17:14

gaweł pisze:Przy tych wszystkich systemach zauważyłem jedną cechę, być może prawdziwą, gdyż nie pamiętam przypadku, gdzie nie byłaby spełniona, a przez moje ręce przeszło tysiące układów scalonych (dotyczy układów w obudowach dwurzędowych). Otóż nadruk symbolu układy scalonego nie jest do góry nogami. Jak literki są normalnie, to pin 1 jest po lewej stronie w dolnym rzędzie.

Heh, wypadałoby dodać - no chyba, że producenta poniesie fantazja i zdecyduje inaczej. Proszę oto kostka DS2413 w pudełku TSOC w której napis jest właśnie na wspak, lutuje dla młodzieży taką makietkę no i te układy też się napatoczyły, z pięć razy sprawdzałam jak leży na płytce bo napis w jedną stronę, "oczko" w drugą, ot przygoda taka.
Nie masz wymaganych uprawnień, aby zobaczyć pliki załączone do tego posta.
______________________________________________ ____ ___ __ _ _ _ _
Kończysz tworzyć dopiero, gdy umierasz. (Marina Abramović)

SuperGość
Uber Geek
Uber Geek
Posty: 2346
Rejestracja: piątek 04 wrz 2015, 09:03

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: SuperGość » niedziela 25 sie 2019, 18:04

Ale to akurat podyktowane jest tym że trzeciego wiersza nadruku nie zmieściłby obok kropki.

Awatar użytkownika
gaweł
Geek
Geek
Posty: 1259
Rejestracja: wtorek 24 sty 2017, 22:05
Lokalizacja: Białystok

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: gaweł » poniedziałek 26 sie 2019, 11:35

tasza pisze:
gaweł pisze:Przy tych wszystkich systemach zauważyłem jedną cechę, być może prawdziwą, gdyż nie pamiętam przypadku, gdzie nie byłaby spełniona, a przez moje ręce przeszło tysiące układów scalonych (dotyczy układów w obudowach dwurzędowych). Otóż nadruk symbolu układy scalonego nie jest do góry nogami. Jak literki są normalnie, to pin 1 jest po lewej stronie w dolnym rzędzie.

Heh, wypadałoby dodać - no chyba, że producenta poniesie fantazja i zdecyduje inaczej. Proszę oto kostka DS2413 w pudełku TSOC w której napis jest właśnie na wspak, lutuje dla młodzieży taką makietkę no i te układy też się napatoczyły, z pięć razy sprawdzałam jak leży na płytce bo napis w jedną stronę, "oczko" w drugą, ot przygoda taka.

No taaak, :o fantazja to bardzo ważna rzecz, bez niej świat byłby inny.
Kiedyś napisałem, cyt. "cuda w kosmosie się czasami zdarzają", dzisiaj mam ochotę na zmianę z dopiskiem "cuda w kosmosie zawsze się zdarzają".

Prawdziwe słowa nie są przyjemne. Przyjemne słowa nie są prawdziwe.
Lao Tse

Awatar użytkownika
gaweł
Geek
Geek
Posty: 1259
Rejestracja: wtorek 24 sty 2017, 22:05
Lokalizacja: Białystok

Re: [Dzielnik częstotliwości] Frequency divider /8 na układzie SN74LVC2G74DCTR - prośba o sprawdzenie schematu

Postautor: gaweł » czwartek 05 wrz 2019, 12:35

tasza pisze:Proszę oto kostka DS2413 w pudełku TSOC w której napis jest właśnie na wspak, lutuje dla młodzieży taką makietkę no i te układy też się napatoczyły, z pięć razy sprawdzałam jak leży na płytce bo napis w jedną stronę, "oczko" w drugą, ot przygoda taka.

No i jak pani nauczycielko superanckiej szkółki niedzielnej, dzieci szszczęśliwe?

Prawdziwe słowa nie są przyjemne. Przyjemne słowa nie są prawdziwe.
Lao Tse


Wróć do „DIY”

Kto jest online

Użytkownicy przeglądający to forum: Obecnie na forum nie ma żadnego zarejestrowanego użytkownika i 0 gości