Znaleziono 527 wyników

autor: PROTON
środa 13 kwie 2016, 16:46
Forum: Inne języki programowania
Temat: [PYTHON] problem z urllib2
Odpowiedzi: 1
Odsłony: 2896

[PYTHON] problem z urllib2

Był sobie działający program w pythone, po aktualizacji Pythona do wersji 2.7.9 przestał działać. A dokładniej zaczą wywalać następujący błąd: URLError: <urlopen error [SSL: CERTIFICATE_VERIFY_FAILED] certificate verify failed (_ssl.c:581)> Lekarstwem na to jest do wywołania urllib2.urlopen dodanie ...
autor: PROTON
sobota 09 kwie 2016, 22:31
Forum: FPGA - MAXimator
Temat: [FPGA] MAXIMATOR rejestr przesuwny
Odpowiedzi: 0
Odsłony: 4155

[FPGA] MAXIMATOR rejestr przesuwny

Kolejny przykład, rejestr przesuwny na przerzutnikach D FF. s_reg.png Do wejścia D (pin B15) podłączony jest przycisk R, on steruje poziomem sygnału na wejściu pierwszego przerzutnika. Przycisk L (pin B16) jest podłączony do wejścia C_BTN, po przez debouncer wyzwala przerzutniki. Do wyjść zostały po...
autor: PROTON
poniedziałek 04 kwie 2016, 21:52
Forum: Pisanie programów w C++
Temat: [Linux] gcc optymalizacja
Odpowiedzi: 1
Odsłony: 4060

[Linux] gcc optymalizacja

Mamy dostępne coraz bardziej rozbudowane procesory, które mają coraz więcej specjalizowanych rozkazów. Jak je wykorzystać? Jak to jest z systemem Linux? Czy program kompilowany pod Linuxem będzie optymalizowany pod architekturę na której jest uruchamiany domyślnie czy trzeba użyć "-march=native...
autor: PROTON
sobota 02 kwie 2016, 20:19
Forum: FPGA - ogólnie
Temat: Generator PWM dla falownika
Odpowiedzi: 2
Odsłony: 4734

Re: Generator PWM dla falownika

Użyj tablicy z obliczonymi wartościami dla jednego całego okresu.
Zrób wcześniej schemat blokowy tego generatora i wrzuć na forum, zobaczymy czy koncepcje masz dobrą.
Ogólnie pomysł fajny i FPGA do tego idealne się nadaje.
autor: PROTON
środa 23 mar 2016, 22:47
Forum: DIY
Temat: Rejestrator U I T
Odpowiedzi: 8
Odsłony: 7435

Re: Rejestrator U I T

A mi się podoba też podejście do projektu, najpierw założenia, podział kodu na części, itp. Czyli "Dziel i zwyciężaj".
autor: PROTON
środa 23 mar 2016, 22:18
Forum: FPGA - MAXimator
Temat: [FPGA] MAXimator - Licznik
Odpowiedzi: 1
Odsłony: 4740

Re: [FPGA] MAXimator - Licznik

Poniżej załączam przykład licznika w VHDL'u dla MAXimatora, do wejścia pdłączony jest przycisk L expandera, do wyjścia 4 diody LED na płycie głównej. Mała UWAGA, nie jest zastosowany debouncer, każde naciśnięcie przycisku generuje kilka, kilkanaście impulsów przez co licznik nie jest w stanie zlicza...
autor: PROTON
środa 23 mar 2016, 21:24
Forum: FPGA - MAXimator
Temat: [FPGA] MAXimator - Licznik
Odpowiedzi: 1
Odsłony: 4740

[FPGA] MAXimator - Licznik

Zanim przejdę do omówienia budowy i zasady działania licznika, omówię podstawowy element z którego się je buduje, przerzutnik D. Przerzutnik typu D (data lub delay) występuje w dwóch wersjach, LATCH oraz FLIP-FLOP. Różnica polega na tym że LATCH jest wyzwalany poziomem a FLIP-FLOP zboczem. LATCH w m...
autor: PROTON
poniedziałek 21 mar 2016, 17:16
Forum: FPGA - MAXimator
Temat: [FPGA] Układy logiczne, propozycje.
Odpowiedzi: 4
Odsłony: 6924

[FPGA] Układy logiczne, propozycje.

Jeśli ktoś nie rozumie przykładów FPGA zamieszczonych na forum to proszę o informację. Przygotuję odpowiednie materiały i wytłumaczę. Co wyjaśnić? Jak działa licznik, sumator, multiplekser? Czy idziemy dalej? Budowa własnego ALU,CPU, szyfrowanie, filtry, itp.
autor: PROTON
sobota 19 mar 2016, 20:08
Forum: FPGA - MAXimator
Temat: [FPGA] MAXIMATOR PWM
Odpowiedzi: 0
Odsłony: 4723

[FPGA] MAXIMATOR PWM

Generator PWM, właściwie 4 generatory sterujące diodami LED na płytce MAXimatora. Nie jest to rozwiązanie optymalne, ponieważ każdy z generatorów PWM ma swój licznik.
PWM.7z
autor: PROTON
sobota 19 mar 2016, 18:08
Forum: FPGA - MAXimator
Temat: [FPGA] MAXIMATOR obsługa ADC i wyświetlacza LED
Odpowiedzi: 5
Odsłony: 7599

Re: [FPGA] MAXIMATOR obsługa ADC i wyświetlacza LED

Aby zmienić częstotliwość odświeżana wyświetlacza, należy w pliku Test_ADC.vhd w lini 86 zmienić ilość bitów licznika (bits) np:

Kod: Zaznacz cały

u7: counter_n_bit generic map (bits =>20) port map (clk => clk, sel => delay);
autor: PROTON
sobota 19 mar 2016, 17:54
Forum: FPGA - MAXimator
Temat: [FPGA] MAXIMATOR obsługa ADC i wyświetlacza LED
Odpowiedzi: 5
Odsłony: 7599

[FPGA] MAXIMATOR obsługa ADC i wyświetlacza LED

Połączenie dwóch projektów, obsługa wyświetlacza LED oraz pomiar napięcia na potencjometrze przy użyciu ADC.
ADC.7z
autor: PROTON
sobota 19 mar 2016, 16:39
Forum: FPGA - MAXimator
Temat: [FPGA] obsługa wyświetlacza LED.
Odpowiedzi: 6
Odsłony: 9029

Re: [FPGA] obsługa wyświetlacza LED.

Przeróbka powyższego przykładu, na wejściu dodałem transkoder (bin2bcd12) z systemu binarnego na BCD. Ze względu na to że na 4 cyfrach nie da rady wyświetlić 0xFFFF dziesiętne (65535), linia danych została ograniczona do 12 bitów, szesnastkowo 0xFFF, dziesiętne 4095. 7seg_led_bcd.png W załączonym ko...
autor: PROTON
sobota 19 mar 2016, 12:06
Forum: FPGA - ogólnie
Temat: [VHDL] ROM
Odpowiedzi: 2
Odsłony: 5724

[VHDL] ROM

Ostatni na czacie padł problem tworzenia ROM'u wraz z zawartością w VHDL'u, znalazłem taki sposób. Tworzymy nowy typ np. RomType type RomType is array ( 0 to 19 ) of STD_LOGIC_VECTOR ( 7 downto 0 ); W pierwszym zakresie ( 0 to 19 ) deklarujemy ilość elementów macierzy, w drugim ( 7 downto 0 ) wielko...
autor: PROTON
sobota 19 mar 2016, 09:20
Forum: FPGA - MAXimator
Temat: [FPGA] Maximator - traci program
Odpowiedzi: 9
Odsłony: 10235

Re: [FPGA] Maximator - traci program

.sof - SRAM Object File. .pof - Programming Object File. W przypadku pliku sof, konfigurowanie układu trwa chwilkę, jest to sposób ulotny. Czyli po zaniku zasilania traci się konfigurację. Natomiast wgrywanie .pof trwa dłużej, w przypadku MAXimatora, wgrywanie trwa 30 sekund, oraz około 3 minuty wer...
autor: PROTON
piątek 18 mar 2016, 09:34
Forum: FPGA - MAXimator
Temat: [FPGA] MAXIMATOR obsługa ADC
Odpowiedzi: 3
Odsłony: 6311

Re: [FPGA] MAXIMATOR obsługa ADC

mógłbyś coś więcej o tym napisać? widzę, że jest tu użyty gotowy ip-core do adc-ka, tak samo będzie z innymi "peryferiami" wewnętrznymi w MAX10? Nie jest to typowy IP-Core, został wygenerowany przy użyciu konfiguratora Qsys ( w menu: Tools->Qsys ), do wszystkich wbudowanych peryferiów uży...
autor: PROTON
piątek 18 mar 2016, 09:22
Forum: FPGA - MAXimator
Temat: [FPGA] MAXIMATOR obsługa ADC
Odpowiedzi: 3
Odsłony: 6311

Re: [FPGA] MAXIMATOR obsługa ADC

Wersja poprawiona kodu VHDL, okazuje się że nie potrzeba automatu skończonego (FSM) do obsługi ADC. Wystarczy sprawdzać czy response_valid = '1' LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY Test_ADC IS PORT( CLK : IN STD_LOGIC; LED : OUT STD_LOGIC_VECTOR(3 downt...
autor: PROTON
czwartek 17 mar 2016, 23:14
Forum: FPGA - MAXimator
Temat: [FPGA] MAXIMATOR obsługa ADC
Odpowiedzi: 3
Odsłony: 6311

[FPGA] MAXIMATOR obsługa ADC

Obsługa ADC na kanale ANAIN1, czyli tam gdzie jest podłączony potencjometr.
Podczas kręcenia potencjometrem w prawo zapalaną się diody na płytce.

ADC.7z
autor: PROTON
czwartek 17 mar 2016, 18:13
Forum: FPGA - MAXimator
Temat: MAXimator (FPGA)
Odpowiedzi: 34
Odsłony: 28841

Re: MAXimator (FPGA)

dambo pisze:witam wszystkich :)

Cześć,
Co to za moduł z LEDami?
Jak możesz to wrzuć swój projekt na forum, zobaczymy jak to wykombinowałeś ;)
autor: PROTON
czwartek 17 mar 2016, 18:11
Forum: FPGA - MAXimator
Temat: [FPGA] obsługa wyświetlacza LED.
Odpowiedzi: 6
Odsłony: 9029

Re: [FPGA] obsługa wyświetlacza LED.

Człowiek uczy się całe życie, a VHDL'em bawię się od 5 lat z przerwami.
autor: PROTON
czwartek 17 mar 2016, 09:20
Forum: FPGA - MAXimator
Temat: [FPGA] obsługa wyświetlacza LED.
Odpowiedzi: 6
Odsłony: 9029

Re: [FPGA] obsługa wyświetlacza LED.

Załączony obrazek pokazuje hierarchię poszczególnych modułów. Kompletnym modułem obsługującym wyświetlacz jest x7seg: U0 , zawiera w sobie wszystkie elementy przedstawione powyżej na schemacie blokowym. x7seg łączy wszystkie elementy w odpowiedni sposób. Moduł x7seg_led jest demem które umożliwia pr...
autor: PROTON
środa 16 mar 2016, 20:44
Forum: FPGA - MAXimator
Temat: [FPGA] MAXIMATOR Miganie diodą LED
Odpowiedzi: 2
Odsłony: 5788

[FPGA] MAXIMATOR Miganie diodą LED

Proste miganie diodą LED w VHDL'u, zegar 10MHz jest przepuszczony przez dzielnik (licznik) aby można było zaobserwować miganie gołym okiem.
LED.7z
autor: PROTON
środa 16 mar 2016, 18:29
Forum: FPGA - MAXimator
Temat: [FPGA] obsługa wyświetlacza LED.
Odpowiedzi: 6
Odsłony: 9029

Re: [FPGA] obsługa wyświetlacza LED.

7seg.7z
autor: PROTON
środa 16 mar 2016, 16:04
Forum: FPGA - MAXimator
Temat: [FPGA] obsługa wyświetlacza LED.
Odpowiedzi: 6
Odsłony: 9029

[FPGA] obsługa wyświetlacza LED.

Pewnie się zastanawialiście jak obsłużyć multipleksowany wyświetlacz LED? Poniżej przedstawię najprostszą wersję, czyli obsługę w systemie szesnastkowym. Na początek, schemat blokowy takiego układu, jego zadaniem jest wyświetlić na 4 wyświetlaczach 7 segmentowych, 16 bitowej wartości podanej na wejś...
autor: PROTON
wtorek 15 mar 2016, 19:23
Forum: Uwagi, sprawy organizacyjne
Temat: KONKURS
Odpowiedzi: 19
Odsłony: 21946

Re: KONKURS

A nie mówiłem ;)

Wyszukiwanie zaawansowane