Znaleziono 2040 wyników

autor: SuperGość
wtorek 24 maja 2016, 15:03
Forum: DIY
Temat: sterownik NAWADNIAJĄCY
Odpowiedzi: 49
Odsłony: 28337

Re: sterownik NAWADNIAJĄCY

wstaw na forum, bo za chwile link zniknie i bedzie dziura w temacie, talkie linki to na czacie mozna dawac w tematach ładujemy obrazki na forum jako załaczniki
autor: SuperGość
poniedziałek 23 maja 2016, 20:33
Forum: FPGA - MAXimator
Temat: Pierwsz próba uzycia zestawu MAXImator
Odpowiedzi: 11
Odsłony: 9931

Re: Pierwsz próba uzycia zestawu MAXImator

Z tego co kombinowałem wychodzi mi że chyba się nie da - ale tego nie wiem na pewno - nijak mi nie szło tego przekroczyć, i jest tam wyrażnie napisane ze nie więcej niż 1000ns.
autor: SuperGość
poniedziałek 23 maja 2016, 19:13
Forum: FPGA - MAXimator
Temat: Pierwsz próba uzycia zestawu MAXImator
Odpowiedzi: 11
Odsłony: 9931

Re: Pierwsz próba uzycia zestawu MAXImator

Przykładowy zrzut z symulacji układu: sym_quartus.JPG a tu fajny krótki poradnik https://youtu.be/nDYp9jg8DUA oprócz tego w folderze instalacyjnym Quartusa znajduje się pdf na temat tego symulatora (nie wiedzieć czemu po uruchomieniu "help" przerzuca mnie do wyszukiwarki z podaną ścieżką d...
autor: SuperGość
poniedziałek 23 maja 2016, 12:06
Forum: FPGA - MAXimator
Temat: Pierwsz próba uzycia zestawu MAXImator
Odpowiedzi: 11
Odsłony: 9931

Re: Pierwsz próba uzycia zestawu MAXImator

Problem rozwiazany. Heh - mam to napisane w błędach i nie czytam ze zrozumieniem, zamiast od razu analizować co mi wypluł Quartus, to się tutaj wypłakuje, że nie działa, a to nazwa sygnału wejściowego zaczynała się od cyfry, a z tego wynika ze nie powinna (w sumie nie wiem czemu się dziwię) - zmieni...
autor: SuperGość
poniedziałek 23 maja 2016, 07:03
Forum: FPGA - MAXimator
Temat: Pierwsz próba uzycia zestawu MAXImator
Odpowiedzi: 11
Odsłony: 9931

Re: Pierwsz próba uzycia zestawu MAXImator

Niestety poległem na próbie skorzystania z symulatora, czy ktoś bawił się symulatorem układów w quartusie? Dostaję taki oto wynik (to co na czerwono na poniższym obrazku) i symulacja się nie udaje, natomiast układ w rzeczywistości działa tak jak zakładałem sym_error.JPG dołączam jeszcze zawarość pli...
autor: SuperGość
niedziela 22 maja 2016, 07:26
Forum: FPGA - MAXimator
Temat: Pierwsz próba uzycia zestawu MAXImator
Odpowiedzi: 11
Odsłony: 9931

Re: Pierwsz próba uzycia zestawu MAXImator

Dla startujących tak jak ja polecam filmik instruktażowy, jak zacząć, łącznie z małym projektem https://youtu.be/CXLGaxXIxk8
lub kurs z EP od nr 4/2016 (o tym piszę tutaj)
oba źródłowe materiały autorstwa P. Zbysińskiego czyli twórcy MAXimatora.
autor: SuperGość
niedziela 22 maja 2016, 05:52
Forum: Jakie IDE dla C?
Temat: [Eclipse] pod linuxem - polski słownik
Odpowiedzi: 6
Odsłony: 7988

Re: [Eclipse] pod linuxem - polski słownik

Tak teraz juz taki jest, dobrze że autor to zmienił :)
autor: SuperGość
sobota 21 maja 2016, 05:02
Forum: FPGA - MAXimator
Temat: Pierwsz próba uzycia zestawu MAXImator
Odpowiedzi: 11
Odsłony: 9931

Re: Pierwsz próba uzycia zestawu MAXImator

jest już późno więc będę się streszczał1: Drogi Wojtku może diody mrugają tak szybko iż wydaje się że świecą - sprawdziłeś to? Nie, to nie to, bo jak zaznaczyłem (chyba) to napedzałem go z zewnętrznego zegara, którego jeszcze nie podłaczyłem. Ale problem już rozwiązany, jako że było to pierwsze uży...
autor: SuperGość
piątek 20 maja 2016, 14:28
Forum: FPGA - MAXimator
Temat: Pierwsz próba uzycia zestawu MAXImator
Odpowiedzi: 11
Odsłony: 9931

Re: Pierwsz próba uzycia zestawu MAXImator

to to ja wiem, że sof jest ze tak powiem chwilowy, sie chyba troche zamotałem bo zanim wy tu napisaliscie to jeszcze poprawiałem swój post i chyba jednak wgrywa sie, sprawdze w domu jak mu podam sygnał do zliczania
autor: SuperGość
piątek 20 maja 2016, 14:02
Forum: FPGA - MAXimator
Temat: Pierwsz próba uzycia zestawu MAXImator
Odpowiedzi: 11
Odsłony: 9931

Pierwsz próba uzycia zestawu MAXImator

Podłączyłem sobie do zasilania w końcu swój zestaw z ekspanderem. Wszystko się zaświeciło, diody RGB bija po oczach, na wyświetlaczach zlicza się ilość naciśnięć na przycisk, diody LED na MAXImatorze radośnie w sobie znanym rytmie błyskają. No jest ok. Zrobiłem więc na przykładzie z EP projekt liczn...
autor: SuperGość
piątek 20 maja 2016, 07:01
Forum: FPGA - MAXimator
Temat: [MAXimator] - kurs w EP
Odpowiedzi: 6
Odsłony: 8492

Re: [MAXImator] - kurs w EP

Jest kolejny odcinek - przechodzimy do konkretów czyli pierwszy mały "projekt" licznika.
autor: SuperGość
środa 18 maja 2016, 05:43
Forum: Przyrządy pomiarowe
Temat: Analog Discovery 2
Odpowiedzi: 13
Odsłony: 10716

Re: Analog Discovery 2

Będę miał to w rekach już niedługo, w sumie po przeglądnięciu co może, po poczytaniu w necie różnych informacji, to powiem że jestem zaskoczony pozytywnie, o ile się nie doszukuje w tym urządzeniu cech "profesjonalnego " sprzętu wartego kilkadziesiąt tysięcy. Powiem tak gdybym nie miał w d...
autor: SuperGość
wtorek 17 maja 2016, 18:26
Forum: Uwagi, sprawy organizacyjne
Temat: KONKURS
Odpowiedzi: 19
Odsłony: 22050

Re: KONKURS

Trochę mniejsze obrazki wrzucajcie bo nie ma teraz wymuszonych miniaturek (ze względu na temat o analizatorach)

A tak poza tym - Panowie i Panie do dzieła - bo lista nagrodzonych jak widać rośnie :)
autor: SuperGość
wtorek 17 maja 2016, 05:43
Forum: Podstawy elektroniki - teoria i praktyka
Temat: [Polecane linki] Strony producentów układów analogowych - odnosniki do ciekawych materiałów, wsparcia konstrukcyjnego
Odpowiedzi: 26
Odsłony: 22095

Re: [Polecane linki] Strony producentów układów analogowych - odnosniki do ciekawych materiałów, wsparcia konstrukcyjneg

Tyle co otrzymane na email informacje o kolejnych ciekawych artykułach z TI - tym razem kolekcja artykułów pani Bonnie Baker (taki trochę Bob Pease w spódnicy, kto czyta czasami EDN powinien tą panią kojarzyć, dla tych co nie mają konta na TI: slyc140 - Bonnie Baker.pdf slyc139 - Bonnie Baker.pdf sl...
autor: SuperGość
poniedziałek 16 maja 2016, 13:02
Forum: Kupię, sprzedam, zamienię
Temat: [Sprzedany] Oryginalny JTAGICE3 firmy ATMEL
Odpowiedzi: 9
Odsłony: 8788

Re: [Sprzedam] Oryginalny JTAGICE3 firmy ATMEL

Nie ma problemu. Mnie i tak leży bo mam nowszego jtaga.
autor: SuperGość
poniedziałek 16 maja 2016, 11:40
Forum: Kupię, sprzedam, zamienię
Temat: [Sprzedany] Oryginalny JTAGICE3 firmy ATMEL
Odpowiedzi: 9
Odsłony: 8788

Re: [Sprzedam] Oryginalny JTAGICE3 firmy ATMEL

Nie ma chętnych? to idzie do moich zbiorów muzealnych.
autor: SuperGość
poniedziałek 16 maja 2016, 07:01
Forum: Uwagi, sprawy organizacyjne
Temat: [Konkurs] "Pomysł na analogowy zestaw edukacyjny"
Odpowiedzi: 11
Odsłony: 8972

Re: [Konkurs] "Pomysł na analogowy zestaw edukacyjny"

Zostały 2 tygodnie! To jeszcze dużo czasu.
autor: SuperGość
poniedziałek 16 maja 2016, 05:22
Forum: Programowanie AVR w C
Temat: jak działa warunek if
Odpowiedzi: 6
Odsłony: 6614

Re: jak działa warunek if

Pytanie czy kolega krzychu20 wie kiedy wyrażenie jest prawdziwe?
autor: SuperGość
sobota 14 maja 2016, 19:22
Forum: Sprzęt, sterowniki, oprogramowanie
Temat: Polecany dysk do Backupów
Odpowiedzi: 6
Odsłony: 6051

Re: Polecany dysk do Backupów

Ja używam intensywnie o jakiegoś już czasu TOSHIBA STOR.E BASICS 2.5 dokładnie taki https://mediamarkt.pl/komputery-i-table ... mpaign=xml
autor: SuperGość
piątek 13 maja 2016, 04:53
Forum: Inne języki programowania
Temat: [Labview] LabVIEW 2014 Home Bundle
Odpowiedzi: 14
Odsłony: 10323

Re: [Labview] LabVIEW 2014 Home Bundle

matty24 pisze:(.....)Do wymienionych przez Ciebie rzeczy nie potrzebujesz APP Buildera.(....)

Dzięki, utwierdziłeś mnie konkretnie w tym co wyczytałem już wcześniej.
autor: SuperGość
czwartek 12 maja 2016, 16:34
Forum: Inne języki programowania
Temat: [Labview] LabVIEW 2014 Home Bundle
Odpowiedzi: 14
Odsłony: 10323

Re: [Labview] LabVIEW 2014 Home Bundle

1. Do nauki
2. Do zrobienia dla własnych potrzeb aplikacji tak aby sie one uruchamiały na moim komputerze
3. Do zrobienia aplikacji (niekomercyjnych) dla kogoś kto ma zainstalowane LV
autor: SuperGość
czwartek 12 maja 2016, 06:28
Forum: Uwagi, sprawy organizacyjne
Temat: [Konkurs] "Pomysł na analogowy zestaw edukacyjny"
Odpowiedzi: 11
Odsłony: 8972

Re: [Konkurs] "Pomysł na analogowy zestaw edukacyjny"

Przypominam, że czas biegnie nieubłaganie. :D
autor: SuperGość
czwartek 12 maja 2016, 05:47
Forum: Inne języki programowania
Temat: [Labview] LabVIEW 2014 Home Bundle
Odpowiedzi: 14
Odsłony: 10323

Re: [Labview] LabVIEW 2014 Home Bundle

No to to już wiem, że APP Builder jest potrzebny do zrobienia aplikacji standalone, mnie bardziej chodziło o to czy wersja bez tego buildera nadaje się do czegoś sensownego.
autor: SuperGość
środa 11 maja 2016, 20:46
Forum: Inne języki programowania
Temat: [Labview] LabVIEW 2014 Home Bundle
Odpowiedzi: 14
Odsłony: 10323

Re: [Labview] LabVIEW 2014 Home Bundle

WMKN2205 pisze:Ja popełniłem dwie apki i do klienta :)
Jak mam to rozumieć w kontekście moich wcześniejszych pytań?

Wyszukiwanie zaawansowane