Znaleziono 645 wyników

autor: dambo
niedziela 10 kwie 2016, 18:17
Forum: FPGA - ogólnie
Temat: [FPGA] układ 74HC595
Odpowiedzi: 10
Odsłony: 9867

[FPGA] układ 74HC595

Potrzebuję do pewnego projektu obsługę SPI napisałem ją sobie i pomyślałem, że przetestuję na 74hc595. Jak już miałem wszystko uszykowane do tego naszła mnie myśl - po co mam to wszystko łączyć - napiszę sobie ten układ :) Wszystko jest zgodne z jego dokumentacją: https://www.nxp.com/documents/data_...
autor: dambo
niedziela 10 kwie 2016, 16:00
Forum: FPGA - ogólnie
Temat: Książeczki i takie tam...
Odpowiedzi: 8
Odsłony: 8722

Re: Książeczki i takie tam...

Czy tylko ja mam wrażenie, że we wszystkich książkach są mega podstawy, rejestry, komparatory itp, a potem na youtubie są gry na telewizorze lub inne mega skomplikowane projekty
autor: dambo
niedziela 10 kwie 2016, 01:13
Forum: FPGA - ogólnie
Temat: [FPGA] Tips & Tricks
Odpowiedzi: 3
Odsłony: 5932

[FPGA] Tips & Tricks

to może tu napiszę jak łatwo zrobić w VHDLu przesuwanie o 1 pozycję za pomocą jednej linijki: Gdy mamy zmienną o nazwie werktor typu std_logic_vector( 7 downto 0 ) i chcemy ją przesunąć w lewo robimy to tak: wektor <= wektor( 6 downto 0 ) & wektor( 7 ); Sam wcześniej kombinowałem trochę inaczej,...
autor: dambo
wtorek 05 kwie 2016, 18:57
Forum: Inne mikroklocki, również peryferyjne
Temat: [ESP8266 #1] Migamy diodą
Odpowiedzi: 6
Odsłony: 7729

Re: [ESP8266 #1] Migamy diodą

Jakby ktoś chciał jakieś efekty proste na stronkę dodać to bez problemu można użyć tu np. jQuery
autor: dambo
wtorek 05 kwie 2016, 11:39
Forum: FPGA - MAXimator
Temat: [MAXimator] - kurs w EP
Odpowiedzi: 6
Odsłony: 8541

Re: [MAXImator] - kurs w EP

Fajnie, ale dla kogos kto bedzie mial caly kurs i w5edy zacznie sie uczyc. Jeden kurs co miesiac to za dlugo :/

Wysłane z mojego SM-J500FN przy użyciu Tapatalka
autor: dambo
piątek 01 kwie 2016, 23:15
Forum: Inne mikroklocki, również peryferyjne
Temat: [ESP8266] Krótkie wprowadzenie
Odpowiedzi: 11
Odsłony: 10599

Re: [ESP8266] Krótkie wprowadzenie

super! Ja się zabrałem za niego od łatwiejszej strony - poprzez wgranie Blynka na niego i sterowanie przez telefon, ale zawsze chciałem wiedzieć jak on działa na niższym poziomie.
autor: dambo
piątek 01 kwie 2016, 14:55
Forum: FPGA - MAXimator
Temat: MAXimator (FPGA)
Odpowiedzi: 34
Odsłony: 29156

Re: MAXimator (FPGA)

czyli nie było tematu :)
autor: dambo
piątek 01 kwie 2016, 01:30
Forum: FPGA - MAXimator
Temat: MAXimator (FPGA)
Odpowiedzi: 34
Odsłony: 29156

Re: MAXimator (FPGA)

ale nie szukajmy na siłę błędów na przyszłość. Pewnie mało kto dojechał do obsługi karty pamięci/hdmi, więc jeszcze nie róbmy paniki
autor: dambo
czwartek 31 mar 2016, 22:20
Forum: FPGA - MAXimator
Temat: MAXimator (FPGA)
Odpowiedzi: 34
Odsłony: 29156

Re: MAXimator (FPGA)

to z i2c nie jest jakimś problemem nie wiadomym - zawsze przy korzystaniu z shieldów zajęte są jakieś ważne piny, a co do hdmi to już grubsza sprawa, a chciałem się nim pobawić ( vga już śmiga ).
autor: dambo
wtorek 29 mar 2016, 18:39
Forum: Pisanie programów w C++
Temat: Powrót po latach do C++
Odpowiedzi: 5
Odsłony: 6763

Re: Powrót po latach do C++

tak szczerze co do różnic - przy prostych zabawach z apkami okienkowymi nie odczujesz żadnych różnic :) no może oprócz tego, że nie można wprost używać wskaźników :shock: mogę polecić ten kursik http://www.centrumxp.pl/dotNet/20,kategoria,Kurs-C-cz-I.aspx - łatwy do "łyknięcia", a efektem ...
autor: dambo
wtorek 29 mar 2016, 13:32
Forum: Pisanie programów w C++
Temat: Powrót po latach do C++
Odpowiedzi: 5
Odsłony: 6763

Re: Powrót po latach do C++

tak jak kolega wyżej polecę C# korzystanie z platformy .net jest mega. Potem bez probelmu przesiądziesz się na apki na windows phone
autor: dambo
wtorek 22 mar 2016, 18:29
Forum: FPGA - MAXimator
Temat: [FPGA] Układy logiczne, propozycje.
Odpowiedzi: 4
Odsłony: 7000

Re: [FPGA] Układy logiczne, propozycje.

Ja mogę opisać w jaki sposób robię w miarę automatyczne testbenche jeśli kogoś by to interesowało, chociaż pewnie sporo odbiegają od tego jak powinno się je pisać :p
autor: dambo
sobota 19 mar 2016, 13:12
Forum: FPGA - ogólnie
Temat: [VHDL] ROM
Odpowiedzi: 2
Odsłony: 5798

Re: [VHDL] ROM

problem nie dotyczył stworzenia ROMu, tylko jakiegoś ładnego includowania jego zawartości z innego pliku zamiast wpisywania tego w pliku z architekturą :) jak coś odkryję to dam znać :)
autor: dambo
sobota 19 mar 2016, 11:24
Forum: FPGA - MAXimator
Temat: [FPGA] Maximator - traci program
Odpowiedzi: 9
Odsłony: 10333

Re: [FPGA] Maximator - traci program

ale samo "Program" nadpisuje to co już jest, więc bez "erase" powinno też działać - przynajmniej u mnie tak jest
autor: dambo
sobota 19 mar 2016, 11:22
Forum: FPGA - ogólnie
Temat: Quartus problemy z importem projektów
Odpowiedzi: 1
Odsłony: 4940

Re: Quartus problemy z importem projektów

nawet system wyświetlania błędów się wysypuje jak w ścieżce są polskie znaki i jakieś dziwne zwroty próbuje wyświetlać w okienku
autor: dambo
czwartek 17 mar 2016, 23:59
Forum: FPGA - MAXimator
Temat: [FPGA] MAXIMATOR obsługa ADC
Odpowiedzi: 3
Odsłony: 6373

Re: [FPGA] MAXIMATOR obsługa ADC

mógłbyś coś więcej o tym napisać? widzę, że jest tu użyty gotowy ip-core do adc-ka, tak samo będzie z innymi "peryferiami" wewnętrznymi w MAX10?
autor: dambo
czwartek 17 mar 2016, 19:10
Forum: FPGA - ogólnie
Temat: [FPGA] prosty knight rider
Odpowiedzi: 0
Odsłony: 4550

[FPGA] prosty knight rider

Przenoszę z wątku głównego, żeby nie robić tam bałaganu. Płytka to oczywiście MAXimator, modulik led z modułowa. Działanie prezentuje się tak:
filmik.
Projekt:
plytka_knight_rider.rar


Będę wdzięczny za wszelkie uwagi :)
autor: dambo
czwartek 17 mar 2016, 17:59
Forum: FPGA - MAXimator
Temat: [FPGA] obsługa wyświetlacza LED.
Odpowiedzi: 6
Odsłony: 9128

Re: [FPGA] obsługa wyświetlacza LED.

już wcześniej pracowałeś w vhdl'u, czy dopiero teraz się uczysz?
autor: dambo
czwartek 17 mar 2016, 17:22
Forum: Hyde Park
Temat: Darmo dają to czemu nie brać.
Odpowiedzi: 6
Odsłony: 6903

Re: Darmo dają to czemu nie brać.

ja też pobrałem - w przerwie warto poczytać co oferują mniej znane procki - lepiej mieć szersze spojrzenie niż nie wynurzać się z avrów :)
autor: dambo
czwartek 17 mar 2016, 17:18
Forum: FPGA - MAXimator
Temat: MAXimator (FPGA)
Odpowiedzi: 34
Odsłony: 29156

Re: MAXimator (FPGA)

witam wszystkich :) trafiłem na to forum szukając informacji o MAXimatorze. Mój pomału się rozwija - najpierw prosty knight rider: https://www.youtube.com/watch?v=9DjF2ltq6kA .
Jakie macie plany odnośnie jego wykorzystania?

Wyszukiwanie zaawansowane