Znaleziono 645 wyników

autor: dambo
poniedziałek 13 cze 2016, 00:04
Forum: Eagle
Temat: Generowanie podglądu PCB w 3D
Odpowiedzi: 4
Odsłony: 6330

Re: Generowanie podglądu w 3D

To był wyświetlaczyk ze sterownikiem ILI9341 - to jest już staaaary projekt, tutaj można znaleźć jego opis: http://majsterkowo.pl/sudoku-avr/ w najbliższym czasie planuję "reedycję" takich moich starych projekcików z próbą opisania ich w jakimś magazynie :)
autor: dambo
niedziela 12 cze 2016, 19:05
Forum: Eagle
Temat: Generowanie podglądu PCB w 3D
Odpowiedzi: 4
Odsłony: 6330

Generowanie podglądu PCB w 3D

Natknąłem się na bardzo fajną stronkę: http://3dbrdviewer.cytec.bg pozwala ona na wygenerowanie podglądu 3D naszej płytki w przeglądarce. Wystarczy wrzucić sam plik .brd i po chwili ukaże nam się coś fajnego: https://1.bp.blogspot.com/-FrbszZ-8qUk/V12S_INKSWI/AAAAAAAADxg/wKqjNHc3nGAur_PgrGIhIOsgsm6P...
autor: dambo
piątek 10 cze 2016, 23:03
Forum: Sprzęt, sterowniki, oprogramowanie
Temat: [RPi]Uwalony moduł SPI?[RPi]
Odpowiedzi: 13
Odsłony: 8441

Re: [RPi]Uwalony moduł SPI?[RPi]

No ale nie napisałem, że tak nie może być :p nawet podałem przykład, że takie coś mnie spotkało :p
autor: dambo
piątek 10 cze 2016, 18:07
Forum: Sprzęt, sterowniki, oprogramowanie
Temat: [RPi]Uwalony moduł SPI?[RPi]
Odpowiedzi: 13
Odsłony: 8441

Re: [RPi]Uwalony moduł SPI?[RPi]

Jeśli nic nie zadziała to znaczy,ze zepsuł się sprzętowy układ SPI - w jednej atmedze tak mialem, że pinu uartu działały jako GPIO, ale uart nie (kod był w 100% dobry, bo w innych sztukach działał). Może tutaj też tak jest - w sumie to mikrokontrolerek, tylko że troszku szybszy
autor: dambo
piątek 10 cze 2016, 17:15
Forum: Sprzęt, sterowniki, oprogramowanie
Temat: [RPi]Uwalony moduł SPI?[RPi]
Odpowiedzi: 13
Odsłony: 8441

Re: [RPi]Uwalony moduł SPI?[RPi]

Ale można to połączyć - idąc poradnikiem do momentu wgrywania fbcp tak naprawdę mamy ładnie sprzętowo zrobioną obsługę wyświetlacza, odświeżania itp. Nie musimy przerzucać głównego ekranu tam - możemy wrzucać nasze obrazki itp bezpośrednio do bufora wyświetlacza, a system sam zadba o to, żeby to się...
autor: dambo
piątek 10 cze 2016, 13:55
Forum: Sprzęt, sterowniki, oprogramowanie
Temat: [RPi]Uwalony moduł SPI?[RPi]
Odpowiedzi: 13
Odsłony: 8441

Re: [RPi]Uwalony moduł SPI?[RPi]

Ja ze swojej strony mogę jedynie powiedzieć, że ostatnio próbowałem zrobić podłączenie czysto sprzętowe tego wyświetlacza do malinki - znalazłem poradnik zawierający 5 kroków jak to zrobić i po paru godzinach w końcu się udało. Spróbuj może w ten sposób: http://projektydmb.blogspot.com/2016/06/raspb...
autor: dambo
wtorek 07 cze 2016, 09:06
Forum: Jak to działa – czyli kącik testera
Temat: Propozycje zestawów / podzespołów do testowania
Odpowiedzi: 38
Odsłony: 24813

Re: Propozycje zestawów / podzespołów do testowania

również byłbym zainteresowany modułem music - mam w planach wykonanie symulatora defibrylatora AED dla OSP,bo niestety nie stać nas na coś oryginalnego, a warto zaznajomić się z komendami i obsługą takiego urządzenia
autor: dambo
piątek 03 cze 2016, 21:26
Forum: ARM STMicroelectronics
Temat: J-link zamiast ST-link na Nucleo [UWAGA!!!]
Odpowiedzi: 0
Odsłony: 3698

Re: J-link zamiast ST-link na Nucleo

Wgrałem już kiedyś myśląc, że będzie mozna programować nie tylko uC STM :/ jednak to ograniczenie pozostaje.

Tak właściwie jakie nowe możliwości daje nam to wgranie softu?
autor: dambo
środa 01 cze 2016, 19:19
Forum: Uwagi, sprawy organizacyjne
Temat: Promowanie blogów użytkowników/ lista ich projektów
Odpowiedzi: 4
Odsłony: 101903

Re: Promowanie blogów użytkowników/ lista ich projektów

Niestety za cienki w uszach jestem na takie coś - nigdy nie siedziałem w rzeczach internetowych :/
autor: dambo
środa 01 cze 2016, 12:48
Forum: Uwagi, sprawy organizacyjne
Temat: Promowanie blogów użytkowników/ lista ich projektów
Odpowiedzi: 4
Odsłony: 101903

Promowanie blogów użytkowników/ lista ich projektów

Witam wszystkich Mam pomysł, który podejrzewam, że mógłby rozwinąć trochę naszą społeczność, a nie widziałem czegoś podobnego na innych forach - mianowicie jakiś system "wspierania" blogów użytkowników lub bardziej złożone "strony profilowe" na forum w sensie klikając na "zo...
autor: dambo
środa 04 maja 2016, 23:05
Forum: Hyde Park
Temat: Atollic TrueSTUDIO - żart...
Odpowiedzi: 3
Odsłony: 3971

Re: Atollic TrueSTUDIO - żart...

każdą pisał ktoś inny :)
autor: dambo
piątek 29 kwie 2016, 22:27
Forum: Uwagi, sprawy organizacyjne
Temat: Newsletter
Odpowiedzi: 9
Odsłony: 8148

Re: Newsletter

korzystałem z niej nie raz nie dwa :)
autor: dambo
piątek 29 kwie 2016, 20:18
Forum: Uwagi, sprawy organizacyjne
Temat: Newsletter
Odpowiedzi: 9
Odsłony: 8148

Re: Newsletter

Kiedyś coś takiego robiłem na swojej jeszcze stronie (jak nie była pod elportalem) do tego stopnia że nawet to zwróciło wówczas uwage red EP - a teraz w EP juz od dawna takie właśnie info zawsze są :) - ale obawiam się, że teraz nie mógłbym zagwarantować porcji aktualnych nowości A można wiedzieć c...
autor: dambo
środa 27 kwie 2016, 17:56
Forum: Jak to działa – czyli kącik testera
Temat: STARTUJEMY z "Jak to działa – czyli kącik testera"
Odpowiedzi: 84
Odsłony: 60852

Re: STARTUJEMY z "Jak to działa – czyli kącik testera"

wiadomo coś na temat jakie układy będą kolejne?
autor: dambo
sobota 23 kwie 2016, 13:25
Forum: Pisanie programów w C
Temat: Wizualizacja kodów w C i nie tylko
Odpowiedzi: 1
Odsłony: 4426

Re: Wizualizacja kodów w C i nie tylko

przecież to jest piękne :) na tym pythontutor.com mają dodać wsparcie dla C/C++ pozostaje tylko czekać :(
autor: dambo
środa 20 kwie 2016, 12:35
Forum: FPGA - MAXimator
Temat: [FPGA] MAXimator, konfigurowanie NIOS II
Odpowiedzi: 16
Odsłony: 21414

Re: [FPGA] MAXimator, konfigurowanie NIOS II

To takie pytanko dla potwierdzenia i może innym pozwoli to dostrzec - czyli mamy teraz mikroprocesorek i możemy do niego napisać własne peryferia, czyli np sprzętową obsługę HD44780, VGA, karty pamięci itp i dane do nich/od nich zapisywać/odczytywać normalnie w kodzie w C?
autor: dambo
środa 20 kwie 2016, 09:28
Forum: FPGA - MAXimator
Temat: [FPGA] MAXimator, konfigurowanie NIOS II
Odpowiedzi: 16
Odsłony: 21414

Re: [FPGA] MAXimator, konfigurowanie NIOS II

Pozamiatane :) Będzie część o tym jak go programować?
autor: dambo
wtorek 19 kwie 2016, 19:14
Forum: Freescale - problemy układowe
Temat: DMA - kiedy korzystać z tego dobrodziejstwa?
Odpowiedzi: 6
Odsłony: 7760

Re: DMA - kiedy korzystać z tego dobrodziejstwa?

Co do wyświetlacza - jak dopieszczę trochę bibliotekę to ją tutaj wstawię. Generalnie inicjalizację - tam gdzie trzeba dużo machać innymi pinami robię normalnie.
autor: dambo
poniedziałek 18 kwie 2016, 20:01
Forum: Freescale - problemy układowe
Temat: DMA - kiedy korzystać z tego dobrodziejstwa?
Odpowiedzi: 6
Odsłony: 7760

Re: DMA - kiedy korzystać z tego dobrodziejstwa?

ja za pomocą DMA przesyłam dane do matryc na max7219 i do wyświetlacza od nokii 5110 - szybko, łatwo i przyjemnie
autor: dambo
wtorek 12 kwie 2016, 21:33
Forum: FPGA - MAXimator
Temat: MAXimator (FPGA)
Odpowiedzi: 34
Odsłony: 28999

Re: MAXimator (FPGA)

A ja mam taką ogólną prośbę - mógłby ktoś mniej więcej opisać jakie dane ( i które są dla nas ważne ) możemy wyciągnąć z "raportu" z syntezy? Trochę już rozpracowałem, ale pewnie wiele rzeczy mi umknęło i innym też przydałaby się taka wiedza.
autor: dambo
wtorek 12 kwie 2016, 14:55
Forum: FPGA - ogólnie
Temat: [FPGA] układ 74HC595
Odpowiedzi: 10
Odsłony: 9815

Re: [FPGA] układ 74HC595

dokładnie, ale naukę programowania np avrek nie zaczyna się od uruchomienia karty SD i wyświetlacza graficznego, ale od miganie diodą. Ten układzik potraktowałem jako małe ćwiczonko :)
autor: dambo
wtorek 12 kwie 2016, 00:21
Forum: FPGA - ogólnie
Temat: [FPGA] układ 74HC595
Odpowiedzi: 10
Odsłony: 9815

Re: [FPGA] układ 74HC595

Z Modelsima korzystałem tu.

Można wgrać gotowca, ale na początku wydaje mi się, że nie o to chodzi. Potem oczywiście nawet trzeba się na to przerzucić.
autor: dambo
poniedziałek 11 kwie 2016, 01:37
Forum: FPGA - ogólnie
Temat: [FPGA] układ 74HC595
Odpowiedzi: 10
Odsłony: 9815

Re: [FPGA] układ 74HC595

Dokladnie tak. Jest to zwykly rejestr przesuwny z zatrzaskiem. Cos bardziej rozbudowanego moze oojawi sie wkrotce
autor: dambo
niedziela 10 kwie 2016, 19:15
Forum: FPGA - ogólnie
Temat: [FPGA] układ 74HC595
Odpowiedzi: 10
Odsłony: 9815

Re: [FPGA] układ 74HC595

Mam zrobiony układ do wysyłania danych po SPI w VDHLu. Chciałem więc co sekundę wysyłać z nim jakieś zmienne i w realu zmontować układ z 74HC595 i na diodach widzieć co on odebrał. Ale równie dobrze nie muszę fizycznie łączyć tego 74hc595 z fpga, tylko go napisać i połączyć bezpośrednio i w symulacj...
autor: dambo
niedziela 10 kwie 2016, 18:21
Forum: Pisanie programów w C#
Temat: [Visual 2015 C#]Co oznacza wyrażenie: = () =>
Odpowiedzi: 2
Odsłony: 4881

Re: [Visual 2015 C#]Co oznacza wyrażenie: = () =>

To jest wyrażenie lambda - wyszukaj info pod tym hasłem

Edit:
Aj, było o tym w tym linku. Dokładnie tak jak piszesz - możesz jakąś mini funkcję tam podać.

Wyszukiwanie zaawansowane