Znaleziono 14 wyników

autor: piotrva
środa 01 lis 2017, 19:56
Forum: FPGA - MAXimator
Temat: MAXimator (FPGA)
Odpowiedzi: 34
Odsłony: 28849

Re: MAXimator (FPGA)

Co do Kart SD i interfejsu SDIO, polecam zapoznanie się z innymi płytkami "firmowymi" od Terasic'a oraz dokumentem: ftp://ftp.altera.com/up/pub/University_Program_IP_Cores/90/SD_Card_Interface_for_SoPC_Builder.pdf Opisuje on dostępny darmowo IP Core od Intel FPGA (Altera) IP Core wraz z dr...
autor: piotrva
środa 01 lis 2017, 07:14
Forum: FPGA - MAXimator
Temat: Przestrajany generator sygnału prostokątnego FPGA
Odpowiedzi: 21
Odsłony: 13423

Re: Przestrajany generator sygnału prostokątnego FPGA

400 MHz to nie za dużo?
Jakich portów IO używasz?
I popieram ZbeeGin - symulację i testbenche obowiązkowo.
autor: piotrva
sobota 16 wrz 2017, 21:44
Forum: FPGA - MAXimator
Temat: [FPGA] MAXimator, konfigurowanie NIOS II
Odpowiedzi: 16
Odsłony: 21300

Re: [FPGA] MAXimator, konfigurowanie NIOS II

tak
autor: piotrva
wtorek 09 maja 2017, 11:15
Forum: FPGA - MAXimator
Temat: Nadajnik "PM /FM" z MAXimatora
Odpowiedzi: 2
Odsłony: 4353

Re: Nadajnik "PM /FM" z MAXimatora

Powinno się dać radę.
Tu masz opis po angielsku i pełne kody - wystarczy zmienic model układu skompilowac i viola
https://github.com/marsohod4you/Fpga-PM ... /README.md
autor: piotrva
wtorek 02 maja 2017, 10:29
Forum: FPGA - ogólnie
Temat: USB-Blaster win10 & BSOD
Odpowiedzi: 1
Odsłony: 4180

Re: USB-Blaster win10 & BSOD

autor: piotrva
wtorek 02 maja 2017, 10:12
Forum: FPGA - ogólnie
Temat: USB-Blaster win10 & BSOD
Odpowiedzi: 1
Odsłony: 4180

Re: USB-Blaster win10 & BSOD

Mam instalke takie wersji, niestety tak się dzieje z nieoryginalnym USB Blaster, jeśli chodzi o bsod.
Co do konfliktu z Analog Discovery to samo jest w wersji oryginalnej...
autor: piotrva
wtorek 02 maja 2017, 09:53
Forum: FPGA - MAXimator
Temat: "Wyświetlacz siedmiosegmentowy" przez VGA
Odpowiedzi: 8
Odsłony: 7622

Re: "Wyświetlacz siedmiosegmentowy" przez VGA

Oczywiscie, projekt będzie open source
autor: piotrva
poniedziałek 01 maja 2017, 09:28
Forum: FPGA - MAXimator
Temat: "Wyświetlacz siedmiosegmentowy" przez VGA
Odpowiedzi: 8
Odsłony: 7622

Re: "Wyświetlacz siedmiosegmentowy" przez VGA

Teraz robię bardziej wypasiony oscyloskop 2 kanałowy ze sterowaniem za pomocą enkodera - będzie tam moduł wyświetlania tekstu :)
autor: piotrva
poniedziałek 01 maja 2017, 08:51
Forum: FPGA - MAXimator
Temat: "Wyświetlacz siedmiosegmentowy" przez VGA
Odpowiedzi: 8
Odsłony: 7622

Re: "Wyświetlacz siedmiosegmentowy" przez VGA

W przykładach na stronie jest moja implementacja oscyloskopu z wyjściem VGA.
Możesz zobaczyć moduł sterowania VGA i może wspólnymi siłami damy radę - jak sam napiszesz będzie większa satysfakcja.
autor: piotrva
niedziela 30 kwie 2017, 19:50
Forum: FPGA - MAXimator
Temat: [Altera - IP Cores]Edycja IP Cores poza Qsys
Odpowiedzi: 0
Odsłony: 3233

[Altera - IP Cores]Edycja IP Cores poza Qsys

Witajcie, W wielu miejscach w sieci widziałem pytania dot. edycji parametrów już przygotowanych IP Cores (z IP Catalog) poza systemem Qsys. Jest to możliwe - w Project Navigator wybieramy widok IP Components Widzimy wszystkie warianty IP Cores powiązane z projektem (warto dodawać po generacji pliki ...
autor: piotrva
niedziela 30 kwie 2017, 19:36
Forum: FPGA - MAXimator
Temat: MAXimator (FPGA)
Odpowiedzi: 34
Odsłony: 28849

Re: MAXimator (FPGA)

A ja mam taką ogólną prośbę - mógłby ktoś mniej więcej opisać jakie dane ( i które są dla nas ważne ) możemy wyciągnąć z "raportu" z syntezy? Trochę już rozpracowałem, ale pewnie wiele rzeczy mi umknęło i innym też przydałaby się taka wiedza. Oprócz oczywistych rzeczy na stronie głównej r...
autor: piotrva
niedziela 30 kwie 2017, 17:01
Forum: FPGA - MAXimator
Temat: Rozszerzenie dla MAXimatora
Odpowiedzi: 0
Odsłony: 3704

Re: Rozszerzenie dla MAXimatora

O ile geometrycznie się zmieści to można stosować podobne rozwiązania dla Arduino, np. ten robocik gąsienicowy.
autor: piotrva
niedziela 30 kwie 2017, 16:55
Forum: FPGA - MAXimator
Temat: "Wyświetlacz siedmiosegmentowy" przez VGA
Odpowiedzi: 8
Odsłony: 7622

Re: "Wyświetlacz siedmiosegmentowy" przez VGA

Trzeba napisać w VHDL dosyć przyjemny kod ;)
Obsługa VGA jest banalnie prosta.
autor: piotrva
niedziela 30 kwie 2017, 16:54
Forum: FPGA - MAXimator
Temat: [FPGA] MAXimator, konfigurowanie NIOS II
Odpowiedzi: 16
Odsłony: 21300

Re: [FPGA] MAXimator, konfigurowanie NIOS II

C jest dokładnie taki jak na inne układy / komputery.
Są tylko biblioteki Altery, do których są nie najgorsze dokumentacje na ich stronach.

Wyszukiwanie zaawansowane