Znaleziono 20 wyników

autor: adi
piątek 29 gru 2017, 15:47
Forum: FPGA - MAXimator
Temat: [FPGA] MAXimator, konfigurowanie NIOS II
Odpowiedzi: 16
Odsłony: 21293

Re: [FPGA] MAXimator, konfigurowanie NIOS II

W kodzie procesora, w linii:

sw = IORD_ALTERA_AVALON_PIO_DATA(SWITCH_BASE) & 0x03;

powinno być BUTTON_BASE - tak zadeklarowano w samym procesorze

Pozdrawiam
autor: adi
piątek 29 kwie 2016, 20:14
Forum: FPGA - ogólnie
Temat: NI FPGA i MyRIO
Odpowiedzi: 2
Odsłony: 4680

NI FPGA i MyRIO

Witajcie, na przykładzie jednego artykułu chciałem Wam zaprezentować jak do tematu oprogramowania(konfiguracji) FPGA podchodzi National Instrument. Całkiem ciekawe. Znam ludzi, którzy tworzą całkiem duże aplikacje przemysłowe w ten sposób. Oto artykuł: http://www.allaboutcircuits.com/technical-artic...
autor: adi
piątek 22 kwie 2016, 19:27
Forum: FPGA - ogólnie
Temat: Free Model Foundry - verilog/VHDL
Odpowiedzi: 0
Odsłony: 3748

Re: Free Model Foundry - verilog/VHDL

Korzystałeś może z opencores.org?
autor: adi
piątek 15 kwie 2016, 21:57
Forum: FPGA - MAXimator
Temat: MAXimator (FPGA)
Odpowiedzi: 34
Odsłony: 28839

Re: MAXimator (FPGA)

Wygląda na to, że Ty zostaniesz specjalistą od tego tematu :) Jakbyś znalazł coś na prawdę przydatnego, napisz proszę kilka słów o tym. Pozdrawiam PS. Zastanawiam się czemu na stronie projektu nie ma źródeł do demo fabrycznego. Ludzie robiący przykłady do HDMI też się czają. Ja rozumiem nakład pracy...
autor: adi
piątek 15 kwie 2016, 18:15
Forum: FPGA - ogólnie
Temat: [FPGA] układ 74HC595
Odpowiedzi: 10
Odsłony: 9759

Re: [FPGA] układ 74HC595

Analizator oczywiście :)
Jeśli jakieś ciekawe FPGA inne niż MAXimator też się pochwal !!
Gdy oglądałem na YT filmik o projekcie sterującym DDS, to autor się chwalił, że w dobrej cenie kupił co najmniej 100 sztuk Spartanów :)
autor: adi
środa 13 kwie 2016, 19:58
Forum: FPGA - ogólnie
Temat: [FPGA] układ 74HC595
Odpowiedzi: 10
Odsłony: 9759

Re: [FPGA] układ 74HC595

Który model używasz?
autor: adi
wtorek 12 kwie 2016, 21:00
Forum: FPGA - ogólnie
Temat: [FPGA] układ 74HC595
Odpowiedzi: 10
Odsłony: 9759

Re: [FPGA] układ 74HC595

Przy implementowaniu samodzielnie lepiej poznasz protokół, a to już nie do przecenienia, jeśli debugujesz lub będziesz debugował takie sprzęty w przyszłości. Wiem, że są oscyloskopy z taką opcją, ale nie zawsze musi być pod ręką itp, niezgodności czasowych albo innych rzeczy też nie musi wyłapać. Ni...
autor: adi
poniedziałek 11 kwie 2016, 19:52
Forum: FPGA - MAXimator
Temat: [MAXimator] - kurs w EP
Odpowiedzi: 6
Odsłony: 8448

Re: [MAXImator] - kurs w EP

Dziś w skrzynce znalazłem nowe EP. Jest kurs. Na razie tylko opis zestawu i instalacja softu, czyli dla nas miesiąc w plecy :) Ciekawe czy na forum EP już rowery pompują 8-) może i tam się jakieś gwiazdy vhdl objawią, oby.
autor: adi
niedziela 10 kwie 2016, 21:30
Forum: FPGA - ogólnie
Temat: [FPGA] układ 74HC595
Odpowiedzi: 10
Odsłony: 9759

Re: [FPGA] układ 74HC595

Czyli na tym układzie po prostu zapamiętywałeś sobie sekwencję danych wysyłanych po SPI bez jakiejkolwiek ich interpretacji? Tego nie mogłem zrozumieć jaki związek sam układ ma z protokołem SPI.
autor: adi
niedziela 10 kwie 2016, 18:51
Forum: FPGA - ogólnie
Temat: Książeczki i takie tam...
Odpowiedzi: 8
Odsłony: 8638

Re: Książeczki i takie tam...

Ok, już wyjaśniam: gra = 256 rejestrów przesuwnych + wielka wyobraźnia :) Też zastanawiałem się nad jedną rzeczą: obsługa magistrali GPIB i zrobić układ który choć się zidentyfikuje na zapytanie IDN? . No i doszedłem do wniosku, pewnie najłatwiej by było wrzucić gotoy IP core z obsługą magistrali pl...
autor: adi
niedziela 10 kwie 2016, 18:47
Forum: FPGA - ogólnie
Temat: [FPGA] układ 74HC595
Odpowiedzi: 10
Odsłony: 9759

Re: [FPGA] układ 74HC595

Proszę o jedno objaśnienie. Jak ten układ można wykorzystać z SPI?
autor: adi
niedziela 10 kwie 2016, 08:19
Forum: FPGA - ogólnie
Temat: [FPGA] Tips & Tricks
Odpowiedzi: 3
Odsłony: 5822

Re: [FPGA] MAXIMATOR rejestr przesuwny

Dobre, dziękuję,

Wygląda na to że tą metodą można dowolnie sobie przemieszać wektor, np z 76543210 na 06243517.
Załóż może temat, coś w stylu VHDL Hacks, pierwszy wpis już mamy :)
autor: adi
niedziela 10 kwie 2016, 08:10
Forum: FPGA - ogólnie
Temat: Książeczki i takie tam...
Odpowiedzi: 8
Odsłony: 8638

Re: Książeczki i takie tam...

Bardzo fajna ta ostatnia książka. Jadę wg niej i jestem koło 40 strony. Są przykłady gdzie trzeba pouzupełniać luki w tekście vhdl - zmusza do utrwalenia pewnych kwestii i pomyślenia. Szkoda, że zablokowana do druku, kopiować treści też się nie da, ale ręczne przepisywanie przykładów zawsze pomaga u...
autor: adi
sobota 09 kwie 2016, 20:52
Forum: FPGA - MAXimator
Temat: MAXimator (FPGA)
Odpowiedzi: 34
Odsłony: 28839

Re: MAXimator (FPGA)

Te na shieldzie są u mnie przewlekane, luty wyglądają ok - ale jeszcze obejrzę przez szkło powiększające za dnia. Myślę, że to sam przełącznik, bo żeby zadziałał licznik sterowany klawiszem "L", to muszę go pchnąć mocno w kierunku segmentu wyświetlacza. Nie działa na nacisk w kierunku płyt...
autor: adi
sobota 09 kwie 2016, 20:37
Forum: FPGA - MAXimator
Temat: MAXimator (FPGA)
Odpowiedzi: 34
Odsłony: 28839

Re: MAXimator (FPGA)

U mnie też padły klawisze w nakładce.
autor: adi
wtorek 05 kwie 2016, 20:19
Forum: FPGA - MAXimator
Temat: [MAXimator] - kurs w EP
Odpowiedzi: 6
Odsłony: 8448

Re: [MAXImator] - kurs w EP

Zawsze to działało najlepiej: przejść przez odcinek kursu, drugi raz sobie złożyć z pamięci ten sam przykład, później coś dobudować, brakującą wiedzę znaleźć w sieci. Idąc tylko wg kursu i ani kropkę dalej to wróży szybkie odstawienie zestawu na półkę, bo przecież kurs się kiedyś kończy a wielokrotn...
autor: adi
niedziela 03 kwie 2016, 09:25
Forum: FPGA - ogólnie
Temat: Generator PWM dla falownika
Odpowiedzi: 2
Odsłony: 4734

Re: Generator PWM dla falownika

Koncepcja jest prawidłowa, bo z tablicą wartości robiłem to już na STM32 i działało. Z tym, że wartości były przeskalowane z zakresu [-1,1] do integer [0,255]. Sam temat generacji sinusa czy innej funkcji jest dla mnie ciekawy ze względu na kolejne zastosowania. Sądzę, że zasoby nas nie ograniczają,...
autor: adi
sobota 02 kwie 2016, 18:51
Forum: FPGA - ogólnie
Temat: Generator PWM dla falownika
Odpowiedzi: 2
Odsłony: 4734

Generator PWM dla falownika

Witajcie, jestem posiadaczem Maximatora. Sprzęt przetestowany i pierwszy program napisany wg filmu Mikrokontrolery. Mój pomysł, który chciałbym na pewno jest zrealizowanie generatora sygnałów PWM do sterowania stopniem mocy falownika trójfazowego na IGBT. Ogólna struktura programu to: Pomiar U na po...
autor: adi
sobota 02 kwie 2016, 18:25
Forum: FPGA - MAXimator
Temat: MAXimator (FPGA)
Odpowiedzi: 34
Odsłony: 28839

Re: MAXimator (FPGA)

Wojtek,

jest szansa na publikację źródeł projektu HDMI?
Domyślam się, że trochę czasu to zajęło.
Publikacja na pewno wzmocniła pojawianie się fajnych projektów na forum.

Pozdrawiam

Wyszukiwanie zaawansowane